clk‘event and clk=’1‘ VHDL