EDA设计数字时钟设计一个含有时/分/秒的时钟设计要求:1.具有'秒','分','时'时钟显示功能,在6个LED上显示小

1个回答

  • 2.微秒模块

    采用VHDL语言输入方式,以时钟clk,清零信号clr以及暂停信号STOP为进程敏感变量,程序如下:

    library ieee;

    use ieee.std_logic_1164.all;

    use ieee.std_logic_unsigned.all;

    entity MINSECONDb is

    port(clk,clrm,stop:in std_logic;----时钟/清零信号

    secm1,secm0:out std_logic_vector(3 downto 0);----秒高位/低位

    co:out std_logic);-------输出/进位信号

    end MINSECONDb;

    architecture SEC of MINSECONDb is

    signal clk1,DOUT2:std_logic;

    begin

    process(clk,clrm)

    variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数

    VARIABLE COUNT2 :INTEGER RANGE 0 TO 10 ;

    begin

    IF CLK'EVENT AND CLK='1'THEN

    IF COUNT2>=0 AND COUNT2